Thị trường mặt nạ bán dẫn chuẩn bị cho một năm tăng trưởng khác

The semiconductor photomask market, a crucial component in chip manufacturing, is on track for another year of robust growth. This growth trajectory is supported by a series of technological advancements and market trends that continue to drive innovation in the industry. As the annual SPIE Photomask Technology Conference approaches in early October in Monterey, California, it presents an opportune moment to examine these developments and what lies ahead for this critical link in the semiconductor value chain.

The semiconductor photomask market has demonstrated impressive resilience and expansion, with a compound annual growth rate (CAGR) of 7.2% over the past seven years according to Photomask Characterization reports published by SEMI. This steady growth is a testament to the industry’s ongoing commitment to innovation in equipment, software, materials, and techniques. As we approach the conference, which brings together experts and industry leaders, it will be a time not only to celebrate these achievements but also to explore new trends and technologies.

The SPIE Photomask Technology Conference, scheduled to take place from September 29 to October 3, 2024, will be a key venue for discussing the latest advancements in photomask technology. The eBeam Initiative meeting, held on October 1, will feature insights from industry luminaries and offer a platform to celebrate the latest breakthroughs. The event will also highlight predictions from an annual survey conducted by the eBeam Initiative, reflecting the views of experts from 49 companies.

According to SEMI’s 2023 Photomask Characterization Report, the semiconductor photomask market generated $5.4 billion in revenue. This strong performance is mirrored in adjacent markets, such as electronic design automation (EDA), which has seen substantial growth. SEMI’s Electronics System Design Alliance (ESDA) reported notable double-digit increases for EDA reticle enhancement technology (RET) and mask data preparation (MDP), with growth rates of 21.3% and 17.3% respectively for the rolling four quarters through the first quarter of 2024. These figures underscore the broader momentum within the semiconductor industry.

A significant factor contributing to the growth of the photomask market is the resurgence of next-generation photomask equipment. This includes advancements in multi-beam e-beam and laser writers, as well as the development of EUV actinic mask inspection equipment. The 2024 eBeam Initiative Luminaries survey revealed that over 90% of the experts who participated anticipated increased purchases of multi-beam mask writers over the next three years. This optimism reflects the role of multi-beam mask writers in enabling the production of both EUV and curvilinear masks.

Furthermore, mask inspection has emerged as a vital growth area. More than 80% of this year’s survey participants forecast an increase in mask inspection equipment purchases over the next three years as shown in figure 1. This growth is driven in part by the need to maintain the reliability of advanced photomasks and enable EUV mask inspection.

Fig. 1: 2024 eBeam Initiative Luminaries survey – purchasing predictions for multi-beam mask writers and mask inspection.

As we look to the future, several key questions arise about the photomask market. Have we reached the peak of this market, or is further growth on the horizon? To shed light on these questions, the eBeam Initiative’s annual survey includes insights from industry luminaries—individuals with deep knowledge and perspectives which they may not be able to publicly share. This year’s survey introduces new technology-focused questions to gauge opinions on EUV pellicles and high-NA EUV stitching.

High-NA EUV stitching has been a topic of significant discussion over the past year. It is proposed as a potential solution to avoid the costs of the alternative large-format masks for high-NA EUV lithography. A special session dedicated to large-format masks will be held on Sunday, September 29, during the SPIE Photomask Technology Conference, offering further insights into this critical area.

The 2024 eBeam Initiative Luminaries survey also addresses several pertinent questions, including:

  • What is the average lifetime of EUV masks without pellicles compared to masks used for 193i lithography?
  • How does the cost of EUV mask equipment, materials, and software compare to that of 193i leading-edge mask equipment?
  • What is the expected logic node that fabs without EUV can achieve with 193i lithography over the next seven years?
  • What are the primary challenges in producing masks with curvilinear shapes?
  • When will capabilities based on deep learning provide a competitive advantage in the mask-making process?

The results of these questions will be discussed by an expert panel at the eBeam Initiative event on October 1, in conjunction with the SPIE Photomask Technology + EUV Lithography Conference. The complete findings will be available for download after the event at www.ebeam.org.

As the semiconductor photomask market continues to evolve, the upcoming conference and survey results will offer valuable insights into the trends shaping the future of this vital industry. The ongoing innovations and growth in the photomask sector highlight its critical role in advancing semiconductor technology and meeting the demands of the ever-evolving electronics landscape.

Jan Willis

  (all posts)

Jan Willis is co-founder of the eBeam Initiative. She has held a variety of high-level positions at companies such as Cadence, Synopsys and Hewlett-Packard. She holds a B.S. in Electrical and Computer Engineering from the University of Missouri at Columbia, and an M.B.A. from Stanford University.

source

Facebook Comments Box

Trả lời

Email của bạn sẽ không được hiển thị công khai. Các trường bắt buộc được đánh dấu *