ASML Q2 2024 Earnings Preview And 2024-2025 Tailwinds – Seeking Alpha

Hard track

bowie15

bowie15
I knew when I took on this assignment to write about ASML Holding N.V.’s (NASDAQ:ASML) upcoming Q2 2024 earnings call on July 17, 2024, that it would be exceedingly difficult. By all metrics, ASML’s Q1 2024 results

ASML
However, CY 2023 was an exceedingly strong year for ASML. In a February 13, 2024 Seeking Alpha article entitled “ASML Led Global WFE Equipment Market In 2023 As China’s Naura Maintains 9th Position,” ASML overtook Applied Materials (AMAT) as the top WFE (wafer front end) Semiconductor Equipment supplier in 2023.
My dilemma is how to formulate an in-depth dive analysis on ASML when revenues increased 50% in 2023, as shown in Chart 1, only to drop 27% in Q1 2024 and a linear regression analysis
Sure, I can report consensus earnings for Q2, which is based on ASML’s guidance during the Q1 earnings call. But that’s a trailing indicator for the company and, as I suggested above, not sufficient to do an in-depth dive analysis for the rest of 2024 and for 2025.

The Information Network
Chart 1
ASML’s guided Q2 2024 total net sales between €5.7 billion and €6.2 billion, with a gross margin between 50% and 51%. These figures reflect QoQ increase of 12.3% from Q1 2024 sales at the midpoint, reported in Table 1 above. The consensus among analysts suggests that ASML will achieve EPS of approximately €3.7 for Q2, 2024, strengthened by strong demand for its EUV and DUV systems.
For the full calendar year 2024, ASML expects total net sales to be similar to 2023 levels, which were €27.6 billion. I expect sales of €37.2 billion for 2025 (34.8% YoY).
Taiwan Semiconductor (NYSE:TSM) (or TSMC) is a leader in semiconductor manufacturing known for its advanced technology and strong market position.
TSMC growth continues to be strong. The company just announced its net revenue for June 2024: On a consolidated basis, revenue for June 2024 was approximately NT$207.87 billion, a -9.5% MoM change from May 2024 and a +32.9% YoY increase from June 2023. Revenue for January through June 2024 totaled NT$1,266.15 billion, a +28.0% HoH increase compared to the same period in 2023.
In Q1 2024, TSMC’s most advanced 3nm process contributed 9% of its revenue. While the 5nm and 7nm processes contributed about 56% of its revenue, as shown in Chart 2.

TSMC
Chart 2
But the sweet spot for ASML is the 2nm node, since ASML’s lithography systems are just being delivered and will represent a large percentage of revenues in 2025 and 2026. Shown in Chart 3 is pricing for each node by year, illustrating the ASPs (average selling price) of the 2nm node, which will be introduced in 2025.
I discussed this pricing in a March 5, 2024 Seeking Alpha article entitled “Taiwan Semiconductor Raising Prices 8.7% In 2024 As Revenue Growth Underperforms Customers.”

The Information Network
Chart 3
According to C. C. Wei, Vice Chairman & CEO of TSMC during the Q1 2024 earnings call:

“N2 technology development is progressing well with device performance and yield on track or ahead of plan. N2 is on track for volume production in 2025.
We start the N2 production in the second half of 2025, actually in the last quarter of 2025. And because of the cycle time and all the kind of back-end process, and so we expect the meaningful revenue will start from the end of the first quarter or beginning of the second quarter of 2026.”
According to AnandTech, TSMC is gearing up to construct two fabrication plants capable of producing N2 chips in Taiwan. The first fab is planned to be located near Baoshan in Hsinchu County, neighboring its R1 research and development center, which was specifically built to develop N2 technology and its successor. This facility is expected to commence high-volume manufacturing (HVM) of 2nm chips in the latter half of 2025. The second N2-capable fabrication plant by is to be located in the Kaohsiung Science Park, part of the Southern Taiwan Science Park near Kaohsiung. The initiation of HVM at this plant is projected to be slightly later, likely around 2026.
TSMC’s 2nm fabs are located in Hsinchu and Kaohsiung, Taiwan.
Table 2 presents my analysis of ASML sales of DUV and EUV equipment to TSMC for its 2nm production in its two fabs in Taiwan.

The Information Network
Table 3 shows revenues from sales of semiconductor equipment to China by company, between 2022 and 2024. In Q1 2024 ASML generated 49% of revenues from China. Significantly larger than the 17% in 2022, it peaked at 46% in Q3 2023, slowed in Q4 2023, before increasing again in Q1 2024, according to my report entitled Mainland China’s Semiconductor and Equipment Markets: Analysis and Manufacturing Trends.
This high percentage of revenues is not only benefiting ASML but all the top companies shown in Table 1, despite U.S. Government sanctions on sales of equipment to capable of making sub 12nm node chips. As the U.S. Government continues to tighten sanctions, Chinese semiconductor companies have gone full board in purchasing as much non-Chinese-made equipment as they could, to the point of hoarding anticipating deeper and broader sanctions.
This equipment is allowed to be shipped under U.S. sanctions, for the processing of mature and mid-critical nodes, i.e., >12nm, to China, as most vendors except for possibly Applied Materials complied with export control regulations.

The Information Network
It must be remembered that ASML’s flagship EUV (extreme ultraviolet) lithography system, priced exceeding $250 million, has been sanctioned for sales to China since the Trump administration. I will discuss EUV later in this article in an analysis of sub-5nm chip tailwinds for ASML.
But immersion DUV systems have been largely exempt from U.S. Sanctions, except for a few of ASML’s high-end systems. And the growth of DUV sales results in growth of other processing types of equipment.
KLA Corporation (KLAC) is the biggest beneficiary of DUV systems in China (and, of course, other regions) because the smaller the technology node, the greater the need for KLAC’s inspection/metrology equipment demanded to maintain high manufacturing yields. I discussed this issue in detail in my May 15, 2024, Seeking Alpha article entitled KLA: Benefiting From The Need For High Yields In Sub-5nm Chip Production.
Equally important, DUV has been able to pattern 7nm and below chips in China, which I first brought to investors’ attention in my May 18, 2022, Seeking Alpha article entitled “Applied Materials: SMIC Move To 7nm Node Capability Another Headwind.”
But to get there, semiconductor manufacturers must employ multi-patterning processes with DUV lithography. Why? Because DUV lithography on its own only works up to the 39nm technology node. Lower than that, multi-patterning processes need to be used, and these processes use deposition and etch equipment from companies like Lam Research (LRCX) or Tokyo Electron (OTCPK:TOELY).
As an example of the synergy between lithography and multi-patterning equipment, even when hamstrung by U.S. Sanctions to limit production of chips in China to mature and mid-critical nodes:
At the end of Q1 2024, ASML had a backlog of 75 EUV systems with an ASP of €180 million, as show in Chart 4. I also estimate 160 DUV systems in backlog with an ASP of €77 million. Unlike memory IC companies, logic/foundry semiconductor companies are not likely to cancel orders despite the downturn and oversupply of chips as leading foundry companies migrate to smaller technology nodes.
In addition, ASML received acceptance from its customers on DUV tools to recognize revenue upon shipment. This resulted in €700M of revenue recognized in 2023 and no longer deferred into 2024, as a result of screaming demand for DUV systems coming from China.

The Information Network
Chart 4
ASML’s upcoming Q2 2024 earnings call on July 17 should provide investors with insight on its performance and future direction. Despite a disappointing Q1 2024, where every financial metric was down by double digits QoQ, ASML overtook Applied Materials as the leader of the Global Semiconductor Equipment market in 2023. ASML’s guidance for Q2 2024 suggests net sales between €5.7 billion and €6.2 billion, a 12.3% increase from Q1 at the midpoint, with a gross margin of 50-51%. I expect ASML to report an EPS of approximately €3.7, up from €3.1, reflecting strong demand for ASML’s EUV and DUV systems. This recovery sets a positive tone for the rest of 2024.
In the near- and midterm, ASML’s strategic focus will be on high-volume manufacturing for TSMC’s 2nm nodes – one of the three biggest opportunities I detail in this article. I estimate WFE spend for 2nm manufacturing will entail the purchasing of 16 EUV and 10 DUV systems in 2024, followed by 38 EUV and 22 DUV systems in 2025, highlighting the critical role of ASML’s lithography equipment in advancing semiconductor manufacturing. These purchases are expected to generate €3.5 billion in revenue in 2024 and €8.19 billion in 2025. Additionally, ASML’s extensive order backlog of 75 EUV systems and 160 DUV systems highlights continued robust demand.
ASML’s significant revenue from China, where it generated 49% of its Q1 2024 revenues, should continue through 2024 as its DUV technology is recognized by Chinese semiconductor manufacturers as the leading lithography product.
ASML’s share price over the past 1-year period has underperformed other equipment companies Applied Materials and Lam Research, as well as TSMC, as shown in Chart 5.

YCharts
Chart 5
Despite is poorer stock performance, ASML’s forward P/E is 53.58x, nearly twice that of the other semiconductor companies in Chart 6.

YCharts
Chart 6
Choosing a rating on ASML is difficult in light of its high forward P/E ratio. Looking a Seeking Alpha Quant ratings of a Hold in Chart 7, this is based on its F rating on high valuation. But this is a problem with looking at charts and not having intimate knowledge of ASML, its management (fortunately new), market dominance, and momentum including the driving force behind AI chip production with its DUV systems.
U.S. Sanctions have had minimal negative effect on the company as it is production limited on EUV systems and can sell as many as they can make to TSMC, Samsung (OTCPK:SSNLF), Intel (INTC), SK Hynix, and Micron (MU). Until China’s SMEE can produce a production-worthy DUV system, ASML has minimal competition from Canon (CAJ) and Nikon (OTCPK:NINOY).
I rate ASML a Buy, despite historic issues with supply chain and inability to ship completed EUV systems to customers, which I have written about extensively on Seeking Alpha.

Seeking Alpha
Chart 7
Editor’s Note: This article discusses one or more securities that do not trade on a major U.S. exchange. Please be aware of the risks associated with these stocks.
This free article presents my analysis of this semiconductor equipment sector. A more detailed analysis is available on my Marketplace newsletter site Semiconductor Deep Dive. You can learn more about it here and start a risk free 2 week trial now.
This article was written by
Robert Castellano has 38 years of experience analyzing the semiconductor markets.
Analyst’s Disclosure: I/we have no stock, option or similar derivative position in any of the companies mentioned, and no plans to initiate any such positions within the next 72 hours. I wrote this article myself, and it expresses my own opinions. I am not receiving compensation for it (other than from Seeking Alpha). I have no business relationship with any company whose stock is mentioned in this article.
Seeking Alpha’s Disclosure: Past performance is no guarantee of future results. No recommendation or advice is being given as to whether any investment is suitable for a particular investor. Any views or opinions expressed above may not reflect those of Seeking Alpha as a whole. Seeking Alpha is not a licensed securities dealer, broker or US investment adviser or investment bank. Our analysts are third party authors that include both professional investors and individual investors who may not be licensed or certified by any institute or regulatory body.

source

Facebook Comments Box

Trả lời

Email của bạn sẽ không được hiển thị công khai. Các trường bắt buộc được đánh dấu *