ASML, imec open joint high NA EUV lithography lab … – eeNews Europe

MENU
The joint ASML-imec High NA EUV Lithography Lab in the Netherlands is a key milestone in preparing High NA EUV lithography for mass manufacturing and overcoming commercial objections.
Many chip makers, including TSMC, see high NA EUV lithography as too expensive and not necessary for the next generation process technologies. Intel on the other hand has already bought a high NA EUV system and has reportedly purchased the rest of ASML’s capacity for 2024, readying production in 2025 to 2026.
The High NA EUV Lithography Lab has been in development for several years in Veldhoven and is now ready to provide leading-edge logic and memory chip makers, as well as advanced materials and equipment suppliers access to the first prototype High NA EUV scanner (TWINSCAN EXE:5000) and surrounding processing and metrology tools.
Giving leading-edge logic and memory chip manufacturers access to the 0.55 NA EUV prototype scanner and surrounding tools (which include a coat and development track, metrology tools, wafer and mask handling systems) will de-risk the technology and help develop private High NA EUV use cases, say imec and ASML.
Access will also be provided to the broader ecosystem of material and equipment suppliers and to imec’s High NA patterning programme.
Since 2018 ASML and ZEISSwere able to develop High NA EUV scanner specific solutions related to the source, optics, lens anamorphicity, stitching, reduced depth of focus, edge placement errors and overlay accuracy.
Imec has prepared the patterning ecosystem, including the development of advanced resist and
underlayer materials, photomasks, metrology and inspection techniques, (anamorphic) imaging strategies, optical proximity correction (OPC), and integrated patterning and etch techniques.
IMEC claims high-NA EUV patterning is ready to make chips

The preparatory work recently resulted in first exposures, showing for the first time ever 10 nm dense lines (20 nm pitch) printed in Veldhoven on metal oxide resists (MORs) using the 0.55 NA EUV prototype scanner.
“High NA EUV is the next milestone in optical lithography, promising the patterning of metal lines/spaces with 20 nm pitch in one single exposure and enabling next generations of DRAM chips,” said Luc Van den hove, CEO of imec.
“This will improve yield and reduce cycle time and even CO2 emissions compared to existing multi-patterning 0.33 NA EUV schemes. It will therefore be a key enabler to push Moore’s Law well into the ångström era. We are now thrilled to explore these capabilities in real life, using the prototype High NA EUV scanner. For imec and its partners, the High NA EUV Lithography Lab will act as a virtual extension of our 300 mm cleanroom in Leuven, enabling us to further improve the patterning ecosystem and push the resolution of the High NA UV towards its ultimate limits.”
“The ASML-imec High NA EUV Lithography Lab provides an opportunity for our EUV customers, partners and suppliers to access the High NA EUV system for process development while waiting for their own system to be available at their factories,” said ASML’s CEO Christophe Fouquet.
“This type of very early engagement with the ecosystem is unique and ould significantly accelerate the learning curve on the technology and smoothen the introduction in manufacturing. We are committed to work with and support  our customers in this journey with High NA EUV.”
www.imec-int.com; www.asml.com
All material on this site Copyright © 2022 European Business Press SA. All rights reserved.

source

Facebook Comments Box

Trả lời

Email của bạn sẽ không được hiển thị công khai. Các trường bắt buộc được đánh dấu *